Tags: Programming Language.

Verilog standardized as IEEE 1364 is a hardware description language (HDL) used to model electronic systems. It is most commonly used in the design and verification of digital circuits at the register-transfer level of abstraction. It is also used in the verification of analog circuits and mixed-signal circuits.

Loading...

This page contains content from the copyrighted Wikipedia article "Verilog"; that content is used under the GNU Free Documentation License (GFDL). You may redistribute it, verbatim or modified, providing that you comply with the terms of the GFDL.